Conferences

  1. Y. Chen, X. Liu, P. Ganesh, J. Pan, J. Xiong, and D. Chen, "HiKonv: High Throughput Quantized Convolution With Novel Bit-wise Management And Computation," The 27th Asia and South Pacific Design Automation Conference (ASP-DAC), Virtual, Jan 17 - 22, 2022.

  2. Y. Li, C. Hao, P. Li, J. Xiong, and D. Chen, "Generic Neural Architecture Search via Regression," Thirty-fifth Conference on Neural Information Processing Systems (NeurIPS), Virtual, Dec 6 - 14, 2021. (Spotlight, 3%)

  3. S. Zhang, M. Wang, S. Liu, P. Chen, J. Xiong, "Why Lottery Ticket Wins? A Theoretical Perspective of Sample Complexity on Sparse Neural Networks," Thirty-fifth Conference on Neural Information Processing Systems (NeurIPS), Virtual, Dec 6 - 14, 2021. (26%)

  4. Z. Xu, F. Yu, J. Xiong, and X. Chen, "Helios: Heterogeneity-Aware Federated Learning with Dynamically Balanced Collaboration," The 58th Design Automation Conference (DAC), San Francisco, CA, USA. Dec 5-9, 2021.

  5. Y. Chang, J. Pu, W. Hwu, and J. Xiong, "MLHarness: A Scalable Benchmarking System for MLCommons," The 2021 BenchCouncil International Symposium on Benchmarking, Measuring and Optimizing (Bench'21), Virtual, Nov 14 - 16, 2021.

  6. Z. Wang, M. Yu, K. Wang, J. Xiong, W. Hwu, M. Hasegawa-Johnson, and H. Shi, "Interpretable Visual Reasoning via Induced Symbolic Space," International Conference on Computer Vision (ICCV), Online, Oct 11-17, 2021.

  7. M. Almasri, N. Vasudeva, R. Nagi, J. Xiong, and W. Hwu, "HyKernel: A Hybrid Selection of One/Two-Phase Kernels for Triangle Counting on GPUs," IEEE High Performance Extreme Computing Conference (HPEC), Boston, MA, USA. September 20 - 24, 2021. (IEEE-HPEC 2021 GraphChallenge Student Innovation Award)

  8. S. Min, K. Wu, S. Huang, M. Hidayetoglu, J. Xiong, E. Ebrahimi, D. Chen, and W. Hwu, "Large Graph Convolutional Network Training with GPU-Oriented Data Communication Architecture," The 47th International Conference on Very Large Data Bases (VLDB), Copenhagen, Denmark. August 16 - 20, 2021.

  9. J. Huang, K. Chang, J. Xiong, and W. Hwu, "Measuring Fine-Grained Domain Relevance of Terms: A Hierarchical Core-Fringe Approach," The Joint Conference of the 59th Annual Meeting of the Association for Computational Linguistics and the 11th International Joint Conference on Natural Language Processing (ACL-IJCNLP), Online, Aug 1 -6, 2021.

  10. K. Qian, Y. Zhang, S. Chang, J. Xiong, C. Gan, D. Cox, and M. Hasegawa-Johnson, "Global Prosody Style Transfer Without Text Transcriptions," Thirty-eighth International Conference on Machine Learning (ICML), (Long-presentation), Online, Jul 18 - 24, 2021.

  11. A. Dhar, P. Reckamp, J. Xiong, W. Hwu and D. Chen, "Graviton: A Reconfigurable Memory-Compute Fabric for Data Intensive Applications," The international symposium on Applied Reconfigurable Computing (ARC), Rennes, France, Online, June 29 - July 1, 2021.

  12. C. Pearson, K. Wu, I. Chung, J. Xiong, and W. Hwu, "TEMPI: An Interposed MPI Library with a Canonical Representation of CUDA-aware Datatypes", The 30th ACM International Symposium on High-Performance Parallel and Distributed Computing (HPDC), Stockholm, Sweden, June 21 - 25, 2021.

  13. H. Park, J. Xiong, and M. Kim, "Trillion-scale Graph Processing Simulation based on Top-Down Graph Upscaling," The 37th IEEE International Conference on Data Engineering (ICDE), Online, April 19-22, 2021.

  14. S. Min, V. Mailthody, Z. Qureshi, J. Xiong, E. Ebrahimi, and W. Hwu, "EMOGI: Efficient Memory-access for Out-of-memory Graph-traversal In GPUs," The 47th International Conference on Very Large Data Bases (VLDB), Copenhagen, Denmark. August 16-20, 2021.

  15. O. Anjum, M. Almasri, J. Xiong, and W. Hwu, "PhraseScope: An Effective and Unsupervised Framework for Mining High Quality Phrases," SIAM International Conference on Data Mining (SDM), Online, April 29 - May 1, 2021. (Acceptance Rate: 21%)

  16. M. El-Hadedy, M. Margala, S. Mosanu, D. Gligoroski, J. Xiong, and W. Hwu, "MICRO-GAGE: A Low-power Compact GAGE Hash Function Processor for IoT Applications," The 27th IEEE International Conference on Electronics Circuits and Systems (ICECS), Online, Nov 23-25, 2020.

  17. J. Huang, Z. Wang, K. Chang, W. Hwu, and J. Xiong, "Exploring Semantic Capacity of Terms," The 2020 Conference on Empirical Methods in Natural Language Processing (EMNLP), Online, Nov 16 - 20, 2020.

  18. X. Zhang, H. Ye, J. Wang, Y. Lin, J. Xiong, W. Hwu, and D. Chen, "DNNExplorer: A Framework for Modeling and Exploring a Novel Paradigm of FPGA-based DNN Accelerator," The 2020 International Conference On Computer Aided Design (ICCAD), Online, San Diego, CA, USA. Nov 2 - 5, 2020.

  19. R. Wang, M. Wang, and J. Xiong, "Quantized Higher-Order Tensor Recovery by Exploring Low-Dimensional Structures," The 54th Asilomar Conference on Signals, Systems and Computers, Online, Nov 1 - 2, 2020.

  20. C. Li, A. Dakkak, J. Xiong, and W. Hwu, "The Design and Implementation of a Scalable DL Benchmarking Platform," The IEEE International Conference on Cloud Computing (CLOUD), Beijing, China. Oct 19 - 23, 2020. (Acceptance Rate: 17%) (Best Student Paper Award)

  21. A. Dhar, X. Wang, H. Franke, J. Xiong, J. Huang, W. Hwu, N. Kim, and D. Chen, "FReaC Cache: Folded Logic Reconfigurable Computing in the Last Level Cache," The IEEE/ACM International Symposium on Microarchitecture (MICRO-53), Online. October 17-21, 2020.

  22. T. Wang, X. Xu, J. Xiong, Q. Jia, H. Yuan, M. Huang, J. Zhuang, and Y. Shi, "ICA-UNet: ICA Inspired Statistical UNet for Real-time 3D Cardiac Cine MRI Segmentation," The 23nd International Conference on Medical Image Computing and Computer Assisted Interventions (MICCAI), Online. Oct 4 - 8, 2020.

  23. M. Hidayetoglu, C. Pearson, V. Mailthody , E. Ebrahimi, J. Xiong, R. Nagi, and W. Hwu, "At-Scale Sparse Deep Neural Network Inference With Efficient GPU Implementation," IEEE High Performance Extreme Computing Conference (HPEC), Online. September 21 - 25, 2020. (IEEE-HPEC 2020 GraphChallenge Champion Award)

  24. R. Wang, G. Zhang, S. Liu, P. Chen, J. Xiong, and M. Wang, "Practical Detection of Trojan Neural Networks: Data-Limited and Data-Free Cases," The 16th European Conference on Computer Vision (ECCV), Online, Aug 23 - 28, 2020.

  25. A. Dakkak, C. Li, J. Xiong, and W. Hwu, "DLSpec: A Deep Learning Task Exchange Specification," 2020 USENIX Conference on Operational Machine Learning (OpML), Online, Santa Clara, CA, USA. July 30, 2020.

  26. Y. Li. C. Hao, X. Zhang, X. Liu, Y. Chen, J. Xiong, W. Hwu, and D. Chen, "EDD: Efficient Differentiable DNN architecture and implementation co-search for embedded AI solutions," Proc. IEEE/ACM Design Automation Conference (DAC), Online, San Francisco, CA, USA. July 19 - 23, 2020.

  27. S. Zhang, M. Wang, S. Liu, P. Chen, and J. Xiong, "Fast Learning of Graph Neural Networks with Guaranteed Generalizability: One-hidden-layer Case," Thirty-seventh International Conference on Machine Learning (ICML), Online, Vienna, Austria. Jul 12 - 18, 2020. (Acceptance Rate: 22%)

  28. Y. Ding, J. Liu, X. Xu, M. Huang, J. Zhuang, J. Xiong, and Y. Shi, "Uncertainty-Aware Training of Neural Networks for Selective Medical Image Segmentation," The 3rd edition of Medical Imaging with Deep Learning (MIDL), Online, Montreal, Canada. July 6 - 8, 2020. (Oral presentation, 12%)

  29. R. Haldar, L. Wu, J. Xiong, and J. Hockenmaier, "A Multi-Perspective Architecture for Semantic Code Search," The 58th annual meeting of the Association for Computational Linguistics (ACL), Online, Seattle, WA, USA. July 5 - 10, 2020.

  30. Z. Wang, M. Yu, Y. Wei, R. Feris, J. Xiong, W. Hwu, T. Huang, H. Shi, "Differential Treatment for Stuff and Things: A Simple Unsupervised Domain Adaptation Method for Semantic Segmentation," Proceedings of the Computer Vision and Pattern Recognition (CVPR), Online, Seattle, WA, USA. June 16 - 20, 2020.

  31. C. Li, A. Dakkak, J. Xiong, and W. Hwu, "Benanza: Automatic uBenchmark Generation to Compute Lower-bound Latency and Inform Optimizations of Deep Learning Models on GPUs," The 34th IEEE International Parallel and Distributed Processing Symposium (IPDPS), Online, New Orleans, Louisiana, USA. May 18 - 22, 2020.

  32. C. Li, A. Dakkak, J. Xiong, W. Wei, L. Xu, and W. Hwu, "XSP: Across-Stack Profiling and Analysis of Machine Learning Models on GPUs," The 34th IEEE International Parallel and Distributed Processing Symposium (IPDPS), Online, New Orleans, Louisiana, USA. May 18 - 22, 2020. (Best Paper Award)

  33. X. Zhang, H. Lu, C. Hao, J. Li, B. Cheng, Y. Li, K. Rupnow, J. Xiong, T. Huang, H. Shi, W. Hwu, and D. Chen, "SkyNet: a Hardware-Efficient Method for Object Detection and Tracking on Embedded Systems," The Third Conference on Machine Learning and Systems (MLSys), Austin, TX, USA. May 2 - 4, 2020.

  34. C. Li, A. Dakkak, J. Xiong, and W. Hwu,, "DLBricks: Composable Benchmark Generation to Reduce Deep Learning Benchmarking Effort on CPUs," The 11th ACM/SPEC International Conference on Performance Engineering (ICPE), Online, Edmonton, Canada. April 20 - 24, 2020.

  35. J. Liu, Y. Ding, J. Xiong, Q. Jia, M. Huang, J. Zhuang, B. Xie, C. Liu, and Y. Shi, "Multi-Cycle-Consistent Adversarial Networks for CT Image Denoising," The 2020 IEEE International Symposium on Biomedical Imaging (ISBI), Iowa City, Iowa, USA. April 3-7, 2020.

  36. S. Zhang, M. Wang, S. Liu, P. Chen, and J. Xiong, "Guaranteed Convergence of Training Convolutional Neural Networks via Accelerated Gradient Descent," The 54th Annual Conference on Information Sciences and Systems (CISS), Princeton, NJ. USA. March 18 - 20, 2020.

  37. C. Li, A. Dakkak, W. Wei, J. Xiong, L. Xu, W. Zhang, and W. Hwu, "Across-Stack Profiling and Characterization of State-of-the-Art Machine Learning Models on GPU," The International Conference for High Performance Computing, Networking, Storage, and Analysis (SC), Denver, CO, USA. Nov 12 - 17, 2019.

  38. O. Anjum, H. Gong, S. Bhat, W. Hwu and J. Xiong, "PaRe: A Paper-Reviewer Matching Approach Using a Common Topic Space," The 2019 Conference on Empirical Methods in Natural Language Processing (EMNLP), Hong Kong, China. Nov 3 -- 7, 2019.

  39. K. Mac, D. Joshi, R. Yeh, J. Xiong, R. Feris, and M. Do, "Learning Motion in Feature Space: Locally-Consistent Deformable Convolution Networks for Fine-Grained Action Detection," International Conference on Computer Vision (ICCV), Seoul, Korea. Oct 27 - Nov 2, 2019. (Oral)

  40. B. Cheng, L. Chen, Y. Wei, Y. Zhu, Z. Huang, J. Xiong, T. Huang, W. Hwu, and H. Shi, "SPGNet: Semantic Prediction Guidance for Scene Parsing," International Conference on Computer Vision (ICCV), Seoul, Korea. Oct 27 - Nov 2, 2019.

  41. T. Wang, J. Xiong, X. Xu, M. Jiang, Y. Shi, H. Yuan, M. Huang, and J. Zhuang, "MSU-Net: Multiscale Statistical U-Net for Real-time 3D Cardiac MRI Video Segmentation," The 22nd International Conference on Medical Image Computing and Computer Assisted Interventions (MICCAI), Shenzhen, China. Oct 13 - 17, 2019.

  42. V. Mailthody, Z. Qureshi, W. Liang, Z. Feng, S. Garcia de Gonzalo, Y. Li, H. Franke, J. Xiong, J. Huang, and W. Hwu, "DeepStore: In-Storage Acceleration for Intelligent Queries," The IEEE/ACM International Symposium on Microarchitecture (MICRO-52), Columbus, Ohio, USA. October 12-16, 2019.

  43. M. Almasri, O. Anjum, C. Pearson, Z. Qureshi, V. Mailthody, R. Nagi, J. Xiong, and W. Hwu, "Update on k-truss Decomposition on GPU," IEEE High Performance Extreme Computing Conference (HPEC), Waltham, MA, USA. September 24 - 26, 2019. (IEEE-HPEC 2019 GraphChallenge Student Innovation Award)

  44. C. Pearson, M. Almasri, O. Anjum, V. Mailthody, Z. Qureshi, R. Nagi, J. Xiong, and W. Hwu "Update on Triangle Counting on GPU," IEEE High Performance Extreme Computing Conference (HPEC), Waltham, MA, USA. September 24 - 26, 2019. (IEEE-HPEC 2019 GraphChallenge Honorable Mention)

  45. S. Huang, C. Pearson, R. Nagi, J. Xiong, D. Chen, and W. Hwu "Accelerating Sparse Deep Neural Network on FPGAs," IEEE High Performance Extreme Computing Conference (HPEC), Waltham, MA, USA. September 24 - 26, 2019. (IEEE-HPEC 2019 GraphChallenge Honorable Mention)

  46. S. Min, S. Huang, M. Aly, J. Xiong, D. Chen and W. Hwu, "Analysis and Optimization of I/O Cache Coherency Strategies for SoC-FPGA Device," The International Conference on Field-Programmable Logic and Applications (FPL), Barcelona, Spain. Sept 9 - 11, 2019.

  47. A. Dakkak, C. Li, J. Xiong, and W. Hwu, "MLModelScope: Evaluate and Measure ML Models within AI Pipelines," Hot Chips: A Symposium on High Performance Chips (Hotchips), Palo Alto, California, USA. August 18-20, 2019.

  48. C. Li, A. Dakkak, J. Xiong, and W. Hwu, "MLModelScope: Evaluate and Introspect Cognitive Pipelines," The Doctoral Symposium of the IEEE World Congress on Services (SERVICES), Milan, Italy. July 8 - 13, 2019.

  49. A. Dakkak, C. Li, S. Garcia de Gonzalo, J. Xiong, and W. Hwu, "TrIMS: Transparent and Isolated Model Sharing for Low Latency Deep Learning Inference in Function as a Service Environments," The IEEE International Conference on Cloud Computing (CLOUD), Milan, Italy. July 8 - 13, 2019.

  50. A. Dakkak, C. Li, J. Xiong, I. Gelado, and W. Hwu, "Accelerating Reduction and Scan Using Tensor Core Units," The 33rd International Conference on Supercomputing (ICS), Phoenix, AZ, USA. June 26 - 28, 2019.

  51. H. Gong, S. Bhat, L Wu, J. Xiong, and W. Hwu, "Reinforcement Learning Based Text Style Transfer without Parallel Training Corpus," The 2019 Annual Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies (NAACL-HLT). Minneapolis, USA. June 2 - 7, 2019.

  52. C. Hao, X. Zhang, Y. Li, S. Huang, J. Xiong, K. Rupnow, W. Hwu, and D. Chen, "FPGA/DNN Co-Design: An Efficient Design Methodology for IoT Intelligence on the Edge," Proc. IEEE/ACM Design Automation Conference (DAC), Las Vegas, NV. June 2 - 6, 2019.

  53. Y. Ding, J. Liu, J. Xiong and Y. Shi, "On the Universal Approximability and Complexity Bounds of Quantized ReLU Neural Networks," The Seventh International Conference on Learning Representations (ICLR), New Orleans, Louisiana, USA. May 6 - 9, 2019.

  54. A. Abulila, V. S. Mailthody, Z. Qureshi, J. Huang, N. Kim, J. Xiong and W.Hwu, "FlatFlash: Exploiting the Byte-Accessibility of SSDs within a Unified Memory-Storage Hierarchy," The 24rd ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Providence, RI, USA. April 13 - 17, 2019.

  55. C. Pearson, A. Dakkak, S. Hashash, C. Li, I. Chung, J. Xiong, and W. Hwu, "Evaluating Characteristics of CUDA Communication Primitives on High-Bandwidth Interconnects," The 10th ACM/SPEC International Conference on Performance Engineering (ICPE), Mumbai, India. April 7 - 11, 2019. (Best Paper Award)

  56. T. Wang, J. Xiong, X. Xu and Y. Shi, "SCNN: A General Distribution based Statistical Convolutional Neural Network with Application to Video Object Detection," The Thirty-Third AAAI Conference on Artificial Intelligence (AAAI), Honolulu, Hawaii, USA. January 27 - February 1, 2019.

  57. Q. Li, X. Zhang, J. Xiong, W. Hwu, and D. Chen, "Implementing Neural Machine Translation with Bi-Directional GRU and Attention Mechanism on FPGAs Using HLS," the 24th Asia and South Pacific Design Automation Conference (ASP-DAC), Tokyo Odaiba Waterfront, Japan. Jan 21 - 24, 2019.

  58. X. Zhang, J. Wang, C. Zhu, Y. Lin, J. Xiong, W. Hwu and D. Chen, "DNNBuilder: an Automated Tool for Building High-Performance DNN Hardware Accelerators for FPGAs," 2018 International Conference On Computer Aided Design (ICCAD), San Diego, CA, USA. Nov 5 - 8, 2018. (Best Paper Award)

  59. V. S. Mailthody, K. Date, Z. Qureshi, C. Pearson, R. Nagi, J. Xiong, W. Hwu "Collaborative (CPU + GPU) Algorithms for Triangle Counting and Truss Decomposition," IEEE High Performance Extreme Computing Conference (HPEC), Waltham, MA, USA. September 25 - 27, 2018. (IEEE-HPEC 2018 GraphChallenge Finalist)

  60. S. Huang, M. El-Hadedy, C. Hao, Q. Li, V. S. Mailthody, K. Date, J. Xiong, D. Chen, R. Nagi, and W. Hwu, "Triangle Counting and Truss Decomposition using FPGA," IEEE High Performance Extreme Computing Conference (HPEC), Waltham, MA, USA. September 25 - 27, 2018. (IEEE-HPEC 2018 GraphChallenge Student Innovation Award)

  61. Y. Ding, J. Liu, J. Xiong, M. Jiang and Y. Shi, "Optimizing Boiler Control in Real-Time with Machine Learning for Sustainability,"International Conference on Information and Knowledge Management (CIKM), Turin, Italy. Oct 22-26, 2018

  62. M. Alian, S. Min, H. Asgharimoghaddam, A. Dhar, D. Wang, T. Roewer, A. McPadden, O. OHalloran, D. Chen, J. Xiong, D. Kim, W. Hwu, N. Kim, "Application-Transparent Near-Memory Processing Architecture with Memory Channel Network," The 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'51), Fukuoka City, Japan. Oct 20 - 24, 2018. (Best Paper Award Nomination)

  63. B. Cheng, Y. Wei, H. Shi, R. Feris, J. Xiong, and T. Huang, "Revisiting RCNN: On Awakening the Classification Power of Faster RCNN," European Conference on Computer Vision (ECCV), Munich, Germany. September 8 - 14, 2018.

  64. Y. Wei, Z. Shen, B. Cheng, H. Shi, J. Xiong, J. Feng, and T. Huang, "Tight Box Mining with Surrounding Segmentation Context for Weakly Supervised Object Detection," European Conference on Computer Vision (ECCV), Munich, Germany. September 8 - 14, 2018.

  65. H. Gong, T. Sakakini, S. Bhat and J. Xiong, "Document Similarity for Texts of Varying Lengths via Hidden Topics," The 56th Annual Meeting of the Association for Computational Linguistics (ACL), Melbourne, Australia. July 15 - 20, 2018.

  66. X. Ge, J. Xiong, and L. Varshney, "Computational Creativity for Valid Rube Goldberg Machines," the Ninth International Conference on Computational Creativity (ICCC), Salamanca, Spain. June 25 - 29, 2018.

  67. I. Palit, L. Yang, Y. Ma, D. Chen, M. Niemier, J. Xiong and X. S. Hu, "Biomedical Image Segmentation using Fully Convolutional Networks on TrueNorth," The 31st International Symposium on Computer-Based Medical Systems (CBMS), Karlstad, Sweden. June 18 - 21, 2018.

  68. C. Zhuge, X. Liu, X. Zhang, S. Gummadi, J. Xiong and D. Chen, "Face Recognition with Hybrid Efficient Convolution Algorithms on FPGAs," The 28th edition of the ACM Great Lakes Symposium on VLSI (GLSVLSI), Chicago, Illinois, USA. May 23 – 25, 2018.

  69. X. Zhang, J. Wang, C. Zhu, Y. Lin, J. Xiong, W. Hwu and D. Chen, "AccDNN: an IP-based DNN Generator for FPGAs," The 26th IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM), Boulder, CO, USA. April 29 – May 1, 2018.

  70. S. Liao, L. Zhou, X. Di, B. Yuan and J. Xiong, "Large-scale Short-term Urban Taxi Demand Forecasting Using Deep Learning," 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), Jeju Island, Korea, January 2018.

  71. R. Yeh, J. Xiong, W. Hwu, M. Do, A. Schwing, "Interpretable and Globally Optimal Prediction for Textual Grounding using Image Concepts," Neural Information Processing Systems (NIPS), Long Beach, CA, USA. December 2017. (Oral)

  72. K. Date, K. Feng, R. Nagi, J. Xiong, N. Kim, and W. Hwu, "Collaborative (CPUT+GPU) algorithms for triangle counting and truss decomposition on the Minsky architecture," IEEE High Performance Extreme Computing Conference (HPEC), September 2017. (IEEE-HPEC 2017 GraphChallenge Honorable Mention)

  73. X. Ge, J. Xiong, L. Varshney, "Creating Experiential Learning Activities," Poster for the 8th International Conference on Computational Creativity (ICCC), Atlant, Georgia. June 19-23, 2017.

  74. B. Xiao, J. Xiong, and Y. Shi, "Novel Applications of Deep Learning Hidden Features for Adaptive Testing," IEEE/ACM Asia South Pacific Design Automation Conference (ASP-DAC), January 2016.

  75. J. Wu, P. Feldmann, J. Xiong, and Y. Shi, "Multi-Threading Based Parallel Dynamic Simulator for Transient Behavior Analysis of Power Systems," IEEE International Conference on Smart Grid Communications (SmartGridComm), Miami, FL, Nov. 2015.

  76. J. Xiong, D. Phan, and D. Kung, "A Resource Supply-demand based Approach for Automatic MapReduce Job Optimization," the 17th International Conference on High Performance Computing and Communications (HPCC), New York, NY, Aug 2015.

  77. L. Aprilia, T. Gu, B. Hollander, G. Janssen, D. Phan, J. Schuddebeurs, J. Xiong, and Y. Zhu, "Validation of the Effectiveness of Virtual Instrumentation for Distribution Transformers," The 23rd International Conference and Exhibition on Electricity Distribution (CIRED), Lyon, France, June 2015.

  78. J. Wu, J. Xiong, P. Shil, and Y. Shi, "Optimal Selected Phasor Measurement Units for Identifying Multiple Line Outages in Smart Grid," IEEE Innovative Smart Grid Technology Conference (ISGT), Washington D.C., USA, Feb. 2015.

  79. K. Al-Jabery, D. Wunsch, J. Xiong, and Y. Shi, "A Novel Grid Load Management Techniques using Electric Water Heaters and Q-Learning," IEEE International Conference on Smart Grid Communications (SmartGridComm), Venice, Nov. 2014.

  80. J. Wu, J. Xiong, P. Shil, and Y. Shi, "Real Time Anomaly Detection in Wide Area Monitoring of Smart Grids," ACM International Conference on Computer-Aided Design (ICCAD), San Jose, CA November 2014.

  81. T. Wang, C. Zhang, J. Xiong, P. Luo, L. Cheng, and Y. Shi, "Variation Aware Optimal Threshold Voltage Computation for On-chip Noise Sensors,"ACM International Conference on Computer-Aided Design (ICCAD), San Jose, CA November 2014. (Best Paper in Track)

  82. B. Wang and J. Xiong, "Novel Geospatial Interpolation Analytics for General Meteorological Measurements," ACM SIGKDD International Conference on Knowledge Discovery and Data Mining (KDD), New York, NY, Aug. 2014.

  83. J. Wu, J. Xiong, and Y. Shi, "Optimal PMU Placement for Identification of Multiple Power Line Outages in Smart Grids," IEEE 57th International Midwest Symposium on Circuits and Systems, College Station, Tx., Aug 2014

  84. C. Zhang, P. Deng, H. Geng, J. Liu, Q. Zhu, J. Xiong, and Y. Shi, "MSim: a General Cycle Accurate Simulation Platform for Memcomputing Studies," Design, Automation and Test in Eurpoe Conference and Exhibition (DATE), Dresden, March 2014.

  85. J. Wu, J. Xiong, and Y. Shi, "Ambiguity Group Based Location Recognition for Multiple Power Line Outages in Smart Grids," IEEE Innovative Smart Grid Technology Conference (ISGT), Washington D.C., USA, Feb. 2014.

  86. J.D. Schuddebeurs, J. Xiong, R. van Hees, T. Gu, G. Ditlow, D. Phan, G. Janssen, E. Acar, and Y.Zhu, "A Case Study of Applying a Novel Asset Maintenance Optimization Methodology to Electricity Distribution Utilities using Simulation Strengthened Analytics," IET Asset Management Conference, St Paul’s, London, UK, November 2013.

  87. T. Wang, Y. Shi, and J. Xiong, "Eagle-Eye: A Near-Optimal Statistical Framework for Noise Sensor Placement," ACM International Conference on Computer-Aided Design (ICCAD), San Jose, CA, November 2013.

  88. J. Bickford, J. Xiong, "Use of performance path test to optimize yield," The 24th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), pp 206-211, Saratoga Springs, NY, May 2013.

  89. W. Zhang, A. Singhee, J. Xiong, P. Habitz, A. Joshi, C. Visweswariah, and J. Sunduist, "A Dynamic Method for Efficient Random Mismatch Characterization of Standard Cells," ACM International Conference on Computer-Aided Design (ICCAD), San Jose, CA, November 2012.

  90. D. Phan, J. Xiong, and S. Ghosh, "A Distributed Scheme for Fair EV Charging under Transmission Constraints," The 2012 American Control Conference (ACC), Montreal, Canada, June 2012.

  91. J. Xiong, J. Bickford, A. Polson, K. Bercaw, V. Iyengar, P. Gillis, J. Martinez, F. Woytowich, C. Visweswariah, and V. Zolotov, "Performance Path Test Statistical Methodology," Proc. IEEE/ACM Design Automation Conference (DAC), San Francisco, CA, 2012.

  92. V. Zolotov, D. Sinha, J. Hemmett, E. Foreman, C. Visweswariah, J. Xiong, J. Leitzen, and N. Venkateswaran, "Timing Analysis with Nonseparable Statistical and Deterministic Variations," Proc. IEEE/ACM Design Automation Conference (DAC), San Francisco, CA, 2012.

  93. D. Sinha, C. Visweswariah, J. Xiong, V. Zolotov, and N. Venkateswaran, "Reversible Statistical max/min Operation: Concept and Applications to Timing," Proc. IEEE/ACM Design Automation Conference (DAC), San Francisco, CA, 2012.

  94. Z. Li, C. Wu, J. Chen, Y. Shi, J. Xiong, and Y. Wang, "Power Distribution Network Reconfiguration for Bounded Transient Power Loss," IEEE PES Innovative Smart Grid Technologies (ISGT) Asia 2012 Conference, Tianjin, China, May 2012.

  95. V. Zolotov and J. Xiong, "Optimal Statistical Chip Disposition," ACM International Conference on Computer-Aided Design (ICCAD), San Jose, November 2011. (Best Paper Award Nomination)

  96. Y. Shi and J. Xiong, "Contingency Constrained Economic Dispatch in Smart Grids with Correlated Demands," Proc. 2nd IEEE International Conference on Smart Grid Communications (SmartGridComm), Brussels, Belgium, October 2011.

  97. L. Cui, J. Chen, Y. Hu, J. Xiong, Z. Feng, and L. He, "Acceleration of Multi-agent Simulation on FPGA," Proc. International Conference on Field Programmable Logic and Applications (FPL), Greece, September, 2011.

  98. S. Cvijic and J. Xiong, "Security Constrained Unit Commitment and Economic Dispatch through Benders Decomposition: A Comparative Study," Proc. IEEE Power & Energy Society (PES) General Meeting, Detroit, Michigan, 2011.

  99. J. Chung, J. Xiong, V. Zolotov, and J. Abraham, "Testability Driven Statistical Path Selection," Proc. IEEE/ACM Design Automation Conference (DAC), San Diego, CA, 2011.

  100. J. Chung, J. Xiong, V. Zolotov, and J. Abraham, "Path Criticality Computation in Parameterized Statistical Timing Analysis," IEEE/ACM Asia South Pacific Design Automation Conference (ASP-DAC), January 2011. (Best Paper Award Nomination)

  101. D. Beece, J. Xiong, C. Visweswariah, V. Zolotov, and Y. Liu, "Transistor Sizing of Custom High-Performance Digital Circuits With Parametric Yield Considerations," Proc. IEEE/ACM Design Automation Conference (DAC), Anaheim, CA, 2010.

  102. R. Shen, S. X.-D. Tan, and J. Xiong, "A linear algorithm for full-chip statistical leakage power analysis considering weak spatial correlation," Proc. IEEE/ACM Design Automation Conference (DAC), Anaheim, CA, 2010.

  103. R. Shen, S. X.-D. Tan, and J. Xiong, "A linear statistical analysis for full-chip leakage power with spatial correlation," Proc. IEEE/ACM International Great Lakes Symposium on VLSI (GLSVLSI), Providence, RI, May, 2010.

  104. V. Zolotov, C. Visweswariah, and J. Xiong, "Voltage Binning under Process Variation," ACM International Conference on Computer-Aided Design (ICCAD), San Jose, November 2009.

  105. J. Xiong, Y. Shi, V. Zolotov, and C. Visweswariah, "Pre-ATPG Path Selection for Near Optimal Post-ATPG Process Space Coverage," ACM International Conference on Computer-Aided Design (ICCAD), San Jose, November 2009.

  106. J. Xiong, C. Visweswariah and V. Zolotov, "Statistical Ordering of Correlated Timing Quantities and its Application for Path Ranking," IEEE/ACM Design Automation Conference (DAC), July 2009.

  107. J. Xiong, Y. Shi, V. Zolotov, and C. Visweswariah, "Statistical Multilayer Process Space Coverage for At-Speed Test," IEEE/ACM Design Automation Conference (DAC), July 2009. ({\bf \textcolor{red}{Best Paper Award Nomination}})

  108. Y. Shi, W. Yao, J. Xiong, and L. He, "Incremental and On-demand Random Walk for Iterative Power Distribution Network Analysis," IEEE/ACM Asia South Pacific Design Automation Conference (ASP-DAC), January 2009.

  109. Y. Shi, J. Xiong, H. Chen, and L. He, "Stochastic Current Prediction Enabled Frequency Actuator for Runtime Resonance Noise Reduction," IEEE/ACM Asia South Pacific Design Automation Conference (ASP-DAC), January 2009. (Best Paper Award Nomination)

  110. V. Zolotov, J. Xiong, H. Fatemi, and C. Visweswariah, "Statistical Path Selection for At-speed Testing," ACM International Conference on Computer-Aided Design (ICCAD), San Jose, November 2008. (Best Paper Award Nomination)

  111. W. Zhang, W. Yu, Z. Wang, Z. Yu, R. Jiang, and J. Xiong, "An Efficient Method for Chip-Level Statistical Capacitance Extraction Considering Process Variations with Spatial Correlation," International Conference on Design, Automation and Test in Europe (DATE), Munich, Germany, March 2008.

  112. J. Xiong, V. Zolotov, C. Visweswariah, and P. A. Habitz, "Optimal margin computation for at-speed test," International Conference on Design, Automation and Test in Europe (DATE), Munich, Germany, March 2008.

  113. J. Xiong, V. Zolotov, and C. Visweswariah, "Incremental criticality and yield gradients," International Conference on Design, Automation and Test in Europe, Munich, Germany (DATE), March 2008.

  114. R. Chen, L. Zhang, V. Zolotov, C. Visweswariah, and J. Xiong, "Static Timing: Back to Our Roots," IEEE/ACM Asia South Pacific Design Automation Conference (ASP-DAC), January 2008.

  115. L. Cheng, J. Xiong, and L. He, "NonGaussian Statistical Timing Analysis Using Second Order Polynomial Fitting," IEEE/ACM Asia South Pacific Design Automation Conference (ASP-DAC), January 2008.

  116. Y. Shi, J. Xiong, C. Liu and L. He, "Efficient Decoupling Capacitance Budgeting Considering Current Correlation Including Process Variation," ACM International Conference on Computer-Aided Design (ICCAD), San Jose, November 2007, (Best Paper Award Nomination)

  117. V. Iyengar, J. Xiong, S. Venkatesan, V. Zolotov, D. Lackey, P. Habitz, and C. Visweswariah, "Variation-Aware Performance Verification Using At-Speed Structural Test And Statistical Timing," ACM International Conference on Computer-Aided Design (ICCAD), San Jose, November 2007.

  118. V. Zolotov, J. Xiong, S. Abbaspour, D. J. Hathaway, and C. Visweswariah, "Compact Modeling of Variational Waveforms," ACM International Conference on Computer-Aided Design (ICCAD), San Jose, November 2007. (Best Paper Award Nomination)

  119. L. Cheng, J. Xiong, and L. He, "Non-Linear Statistical Static Timing Analysis for Non-Gaussian Variation Sources," IEEE/ACM Design Automation Conference (DAC), June 2007.

  120. Z. Cao, T. Jing, J. Xiong, Y. Hu, L. He, and X. Hong, "DpRouter: A Fast and Accurate Dynamic-Pattern-Based Global Routing Algorithm," IEEE/ACM Asia South Pacific Design Automation Conference (ASP-DAC), January 2007.

  121. C. Long, J. Xiong, and Y. Liu, "Techniques of Power-gating to Kill Sub-Threshold Leakage," IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), Singapore, December 4 - 7, 2006.

  122. L. Cheng, J. Xiong, and L. He, "FPGA Performance Optimization via Chipwise Placement Considering Process Variations," International Conference on Field Programmable Logic and Applications (FPL), August 2006.

  123. J. Xiong, V. Zolotov, N. Venkateswaran, and C. Visweswariah, "Criticality Computation in Parameterized Statistical Timing," IEEE/ACM Design Automation Conference (DAC), July 2006.

  124. J. Xiong, V. Zolotov, and L. He, "Robust Extraction of Spatial Correlation," ACM International Symposium on Physical Design (ISPD), San Jose, California, April 2006. (Best Paper Award)

  125. J. Xiong and L. He, "Fast Buffer Insertion Considering Process Variations," ACM International Symposium on Physical Design (ISPD), San Jose, California, April 2006.

  126. J. Xiong, Y. Wong, E. Sarto, and L. He, "Constraint Driven I/O Planning and Placement for Chip-package Co-design," IEEE/ACM Asia South Pacific Design Automation Conference (ASP-DAC), January 2006.

  127. J. Liang, T. Jing, X. Hong, J. Xiong, and L. He, "Power/Ground Network Aware and Row-Based Solutions to the Crosstalk Driven Routing Problem," International Conference on Application Specific Integrated Circuits, October 2005.

  128. L. He, A. Kahng, K. Tam, and J. Xiong, "Simultaneous Buffer Insertion and Wire Sizing Considering Systematic CMP Variation and Random Leff Variation," ACM International Symposium on Physical Design (ISPD), April 2005.

  129. J. Xiong, K. Tam, and L. He, "Buffer insertion considering process variation," Design Automation and Test in Europe (DATE), Munich, Germany, March 2005.

  130. L. He, A. Kahng, K. Tam, and J. Xiong, "Design of IC Interconnects with Accurate Modeling of CMP," International Society for Optical Engineering Symposium (SPIE) on Microlithography, February 2005.

  131. T. Jing, L. Zhang, J. Liang, J. Xu, X. Hong, J. Xiong, and L. He, "A Min-area Solution to Performance and RLC Crosstalk Driven Global Routing Problem," IEEE/ACM Asia South Pacific Design Automation Conference (ASP-DAC), January 2005.

  132. J. Xiong and L. He, "Probabilistic Congestion Model Considering Shielding for Crosstalk Reduction," IEEE/ACM Asia South Pacific Design Automation Conference (ASP-DAC), January 2005.

  133. X. Zhao, Y. Cai, Q. Zhou, X. Hong, L. He, and J. Xiong, "Shielding Area Optimization under the Solution of Interconnect Crosstalk," International Symposium on Circuits and Systems, Vol. 5, May 2004.

  134. L. Zhang, T. Jing, X. Hong, J. Xiong, L. He, "Performance and RLC Crosstalk Driven Global Routing," International Symposium on Circuits and Systems, Vol.5, May 2004.

  135. C. Long, J. Xiong, and L. He, "On Optimal Physical Synthesis of Sleep Transistors," ACM International Symposium on Physical Design (ISPD), Tucson, Arizona, March 2004.

  136. J. Xiong and L. He, "Full-chip Multilevel Routing for Power and Signal Integrity," International Conference on Design, Automation and Test in Europe (DATE), Paris, France, February 2004.

  137. L. Zhang, T. Jing, X. Hong, J. Xu, J. Xiong, and L. He, "Performance optimization global routing with RLC crosstalk constraints," International Conference on ASIC, Vol. 1, October 2003. (Best Student Paper Award)

  138. J. Xiong, J. Chen, J. Ma, and L. He, "Post Global Routing RLC Crosstalk Budgeting," ACM International Conference on Computer-Aided Design (ICCAD), San Jose, November 2002.

  139. X. Zhang, J. Xiong, and A. Bishop, "The effects of load and speed on vertebral kinematics during lifting motions," The 45th Human Factors and Ergonomics Society Annual Meeting, 2001.

  140. X. Zhang, J. Xiong, and A. Bishop, "Vertebral Kinematic Description Based on In-Vivo Measurement of Surface Marker Motions," The 25th American Society of Biomechanics Annual Meeting, 2001.

  141. J, Xiong, L. Pan, J. Pei, and D. Meng, "Eight-terabyte storage system by a new file system," International Society for Optical Engineering Symposium (SPIE) on Optical Storage, Vol. 4085, 2000.